2008-05-25から1日間の記事一覧

InfoQ: 日立ソフト中村氏が仮想化技術の活用事例を語る

Web

会社で仮想化技術を取り入れられたら面白そうだな。

ソフトウェアテスト基本テクニック:第2回 静的テスト|gihyo.jp … 技術評論社

Web

システム開発の際にコーディング規約を作らないとなぁと思ったのだけれど、思っただけでそのまま開発が始まってしまった。 C 言語だったので、過去の資産は世界にたくさんあっただけれどなぁ……。 Java 言語 コーディング規約

[再]colinuxのイメージを拡張する方法 (Clouder::Blogger)

single mode で動かす必要がないのが、ちょっとだけ簡単になったところかな? dd が少し時間がかかるようになっているのかも。 機会があったら試してみよう。

OpenGL の新しいオプション

OpenGL のオプションに force-pbo, ati-hack というオプションが増えるみたい。 次のビルドで試してみよう。

AH3650 SILENT/HTDI/512M

AGP 最後のグラボとして何かほしいなぁと思って T-zone でざっと見た感じ、これかなと。 マザボが AGP 2.0 の 4x なので、8x のこいつだと過電圧になるとかならないとか。

六話ラストの団らんが良かった。

テストエンジニアの視点で読み解く「発注者ビューガイドライン」:第2回 テストエンジニアが知っておくべき《画面編》のポイント|gihyo.jp … 技術評論社

Web

発注者ビューガイドライン(画面編)の FD5001 をもう一度ちゃんと読もう。

四月に図書館で借りた本

総額で二万円くらい。 むぅ、住民税には届かんな。